注冊 | 登錄讀書好,好讀書,讀好書!
讀書網(wǎng)-DuShu.com
當(dāng)前位置: 首頁出版圖書科學(xué)技術(shù)工業(yè)技術(shù)無線電電子學(xué)、電信技術(shù)數(shù)字系統(tǒng)設(shè)計與Verilog HDL(第7版)

數(shù)字系統(tǒng)設(shè)計與Verilog HDL(第7版)

數(shù)字系統(tǒng)設(shè)計與Verilog HDL(第7版)

定 價:¥58.00

作 者: 王金明 著
出版社: 電子工業(yè)出版社
叢編項(xiàng):
標(biāo) 簽: 暫缺

ISBN: 9787121356148 出版時間: 2019-01-01 包裝: 平裝
開本: 16開 頁數(shù): 398 字?jǐn)?shù):  

內(nèi)容簡介

  本書根據(jù)EDA課程教學(xué)要求,以提高數(shù)字系統(tǒng)設(shè)計能力為目的,系統(tǒng)闡述FPGA數(shù)字系統(tǒng)開發(fā)的相關(guān)知識,主要內(nèi)容包括EDA技術(shù)概述、FPGA/CPLD器件、Verilog硬件描述語言等。全書以Quartus Prime、ModelSim軟件為平臺,以Verilog-1995和Verilog-2001語言標(biāo)準(zhǔn)為依據(jù),以可綜合的設(shè)計為重點(diǎn),通過大量經(jīng)過驗(yàn)證的數(shù)字設(shè)計實(shí)例,闡述數(shù)字系統(tǒng)設(shè)計的方法與技術(shù),由淺入深地介紹Verilog工程開發(fā)的知識與技能。本書著眼于實(shí)用,緊密聯(lián)系教學(xué)實(shí)際,實(shí)例豐富。全書深入淺出,概念清晰,語言流暢。本書可作為電子、通信、微電子、信息、電路與系統(tǒng)、通信與信息系統(tǒng)及測控技術(shù)與儀器等專業(yè)本科生和研究生的教學(xué)用書,也可供從事電路設(shè)計和系統(tǒng)開發(fā)的工程技術(shù)人員閱讀參考。本書配有教學(xué)課件,可從華信教育資源網(wǎng)(www.hxedu.com.cn)免費(fèi)下載。

作者簡介

  王金明,博士,解放軍陸軍工程大學(xué)教授、碩士生導(dǎo)師。曾獲軍隊(duì)科技進(jìn)步一等獎1項(xiàng),軍隊(duì)科技進(jìn)步二等獎3項(xiàng),軍隊(duì)科技進(jìn)步三等獎5項(xiàng),獲軍隊(duì)級教學(xué)成果二等獎1項(xiàng);獲國家發(fā)明專利授權(quán)2項(xiàng),獲軟件著作授權(quán)1項(xiàng);發(fā)表論文80余篇,其中SCI、EI收錄30余篇;主編教材多部,并入選十一五”國家級規(guī)劃教材和十二五”國家級規(guī)劃教材;2013年獲軍隊(duì)院校育才獎銀獎;2014年由國家留學(xué)基金委資助,在美國威斯康星大學(xué)麥迪遜分校訪問研究1年;指導(dǎo)本科生參加全國大學(xué)生電子設(shè)計競賽,共獲得全國一等獎8項(xiàng)。

圖書目錄

第1章 EDA技術(shù)概述 1
1.1 EDA技術(shù)及其發(fā)展 1
1.2 Top-down設(shè)計與IP核復(fù)用 4
1.2.1 Top-down設(shè)計 4
1.2.2 Bottom-up設(shè)計 5
1.2.3 IP復(fù)用技術(shù)與SoC 5
1.3 數(shù)字設(shè)計的流程 7
1.3.1 設(shè)計輸入 8
1.3.2 綜合 9
1.3.3 布局布線 9
1.3.4 仿真 10
1.3.5 編程配置 10
1.4 常用的EDA工具軟件 10
1.5 EDA技術(shù)的發(fā)展趨勢 14
習(xí)題1 15
第2章 FPGA/CPLD器件 16
2.1 PLD器件概述 16
2.1.1 PLD器件的發(fā)展歷程 16
2.1.2 PLD器件的分類 17
2.2 PLD的基本原理與結(jié)構(gòu) 19
2.2.1 PLD器件的基本結(jié)構(gòu) 19
2.2.2 PLD電路的表示方法 20
2.3 低密度PLD的原理與結(jié)構(gòu) 21
2.4 CPLD的原理與結(jié)構(gòu) 25
2.4.1 宏單元結(jié)構(gòu) 25
2.4.2 典型CPLD的結(jié)構(gòu) 26
2.5 FPGA的原理與結(jié)構(gòu) 29
2.5.1 查找表結(jié)構(gòu) 29
2.5.2 典型FPGA的結(jié)構(gòu) 32
2.5.3 Cyclone IV器件結(jié)構(gòu) 35
2.6 FPGA/CPLD的編程元件 38
2.7 邊界掃描測試技術(shù) 42
2.8 FPGA/CPLD的編程與配置 43
2.8.1 在系統(tǒng)可編程 43
2.8.2 FPGA器件的配置 45
2.8.3 Cyclone IV器件的編程 45
2.9 FPGA/CPLD器件概述 48
2.10 FPGA/CPLD的發(fā)展趨勢 52
習(xí)題2 53
第3章 Quartus Prime使用指南 54
3.1 Quartus Prime原理圖設(shè)計 55
3.1.1 半加器原理圖設(shè)計輸入 55
3.1.2 1位全加器設(shè)計輸入 59
3.1.3 1位全加器的編譯 61
3.1.4 1位全加器的仿真 63
3.1.5 1位全加器的下載 67
3.2 基于IP核的設(shè)計 70
3.2.1 模24方向可控計數(shù)器 71
3.2.2 4×4無符號數(shù)乘法器 78
3.3 SignalTap II的使用方法 84
3.4 Quartus Prime的優(yōu)化設(shè)置與時序分析 89
習(xí)題3 93
實(shí)驗(yàn)與設(shè)計 95
3-1 8位帶符號乘法器 95
3-2 補(bǔ)碼轉(zhuǎn)換幅度碼電路 98
第4章 Verilog設(shè)計初步 100
4.1 Verilog的歷史 100
4.2 Verilog模塊的結(jié)構(gòu) 101
4.3 Verilog基本組合電路設(shè)計 105
4.3.1 用Verilog設(shè)計基本組合電路 105
4.3.2 用Verilog設(shè)計加法器 105
4.4 Verilog基本時序電路設(shè)計 108
4.4.1 用Verilog設(shè)計觸發(fā)器 108
4.4.2 用Verilog設(shè)計計數(shù)器 109
習(xí)題4 111
實(shí)驗(yàn)與設(shè)計 111
4-1 Synplify Pro綜合器的使用方法 111
4-2 Synplify綜合器的使用方法 115
第5章 Verilog語言要素 117
5.1 概述 117
5.2 常量 118
5.2.1 整數(shù)(Integer) 118
5.2.2 實(shí)數(shù)(Real) 120
5.2.3 字符串(Strings) 120
5.3 數(shù)據(jù)類型 121
5.3.1 net型 122
5.3.2 variable型 123
5.4 參數(shù) 124
5.4.1 參數(shù)parameter 124
5.4.2 Verilog-2001中的參數(shù)聲明 125
5.4.3 參數(shù)的傳遞 126
5.4.4 localparam 126
5.5 向量 127
5.6 運(yùn)算符 129
習(xí)題5 133
實(shí)驗(yàn)與設(shè)計 134
5-1 用altpll鎖相環(huán)宏模塊實(shí)現(xiàn)倍頻和分頻 134
5-2 消抖動電路 139
第6章 Verilog語句語法 141
6.1 過程語句 141
6.1.1 always過程語句 142
6.1.2 initial過程語句 145
6.2 塊語句 146
6.2.1 串行塊begin-end 146
6.2.2 并行塊fork-join 147
6.3 賦值語句 148
6.3.1 持續(xù)賦值與過程賦值 148
6.3.2 阻塞賦值與非阻塞賦值 149
6.4 條件語句 151
6.4.1 if-else語句 151
6.4.2 case語句 152
6.5 循環(huán)語句 157
6.5.1 for語句 157
6.5.2 repeat、while、forever語句 158
6.6 編譯指示語句 160
6.7 任務(wù)與函數(shù) 161
6.7.1 任務(wù)(task) 162
6.7.2 函數(shù)(function) 163
6.8 順序執(zhí)行與并發(fā)執(zhí)行 167
6.9 Verilog-2001語言標(biāo)準(zhǔn) 168
6.9.1 Verilog-2001改進(jìn)和增強(qiáng)的語法結(jié)構(gòu) 168
6.9.2 屬性及PLI接口 177
習(xí)題6 179
實(shí)驗(yàn)與設(shè)計 180
6-1 FIFO緩存器設(shè)計 180
第7章 Verilog設(shè)計的層次與風(fēng)格 184
7.1 Verilog設(shè)計的層次 184
7.2 門級結(jié)構(gòu)描述 184
7.2.1 Verilog門元件 185
7.2.2 門級結(jié)構(gòu)描述 187
7.3 行為描述 188
7.4 數(shù)據(jù)流描述 189
7.5 不同描述風(fēng)格的設(shè)計 190
7.5.1 半加器設(shè)計 190
7.5.2 1位全加器設(shè)計 191
7.5.3 加法器的級連 193
7.6 多層次結(jié)構(gòu)電路的設(shè)計 194
7.6.1 模塊例化 194
7.6.2 用parameter進(jìn)行參數(shù)傳遞 196
7.6.3 用defparam進(jìn)行參數(shù)重載 198
7.7 基本組合電路設(shè)計 198
7.7.1 門電路 198
7.7.2 編譯碼器 199
7.8 基本時序電路設(shè)計 201
7.8.1 觸發(fā)器 201
7.8.2 鎖存器與寄存器 202
7.8.3 計數(shù)器與串并轉(zhuǎn)換器 203
7.8.4 簡易微處理器 204
7.9 三態(tài)邏輯設(shè)計 206
習(xí)題7 208
實(shí)驗(yàn)與設(shè)計 208
7-1 數(shù)字表決器 208
第8章 Verilog有限狀態(tài)機(jī)設(shè)計 212
8.1 有限狀態(tài)機(jī) 212
8.2 有限狀態(tài)機(jī)的Verilog描述 214
8.2.1 用三個always塊描述 215
8.2.2 用兩個過程描述 216
8.2.3 單過程描述方式 218
8.3 狀態(tài)編碼 219
8.3.1 常用的編碼方式 219
8.3.2 狀態(tài)編碼的定義 221
8.3.3 用屬性指定狀態(tài)編碼方式 224
8.4 有限狀態(tài)機(jī)設(shè)計要點(diǎn) 225
8.4.1 復(fù)位和起始狀態(tài)的選擇 225
8.4.2 多余狀態(tài)的處理 228
習(xí)題8 229
實(shí)驗(yàn)與設(shè)計 229
8-1 流水燈控制器 229
8-2 汽車尾燈控制器 231
第9章 Verilog驅(qū)動常用I/O外設(shè) 234
9.1 4×4矩陣鍵盤 234
9.2 標(biāo)準(zhǔn)PS/2鍵盤 236
9.3 字符液晶 243
9.4 漢字圖形點(diǎn)陣液晶 248
9.5 VGA顯示器 254
9.5.1 VGA顯示原理與時序 254
9.5.2 VGA彩條信號發(fā)生器 258
9.5.3 VGA圖像顯示與控制 260
9.6 樂曲演奏電路 266
習(xí)題9 271
實(shí)驗(yàn)與設(shè)計 273
9-1 實(shí)用多功能數(shù)字鐘 273
第10章 Verilog設(shè)計進(jìn)階 282
10.1 設(shè)計的可綜合性 282
10.2 流水線設(shè)計技術(shù) 285
10.3 資源共享 288
10.4 阻塞賦值與非阻塞賦值 290
10.5 加法器設(shè)計 294
10.5.1 行波進(jìn)位加法器 294
10.5.2 超前進(jìn)位加法器 295
10.5.3 數(shù)據(jù)流描述的加法器 299
10.5.4 流水線加法器 300
10.6 乘法器設(shè)計 300
10.6.1 并行乘法器 300
10.6.2 移位相加乘法器 302
10.6.3 布斯乘法器 305
10.6.4 查找表乘法器 307
10.7 奇數(shù)分頻與小數(shù)分頻 308
10.7.1 奇數(shù)分頻 308
10.7.2 半整數(shù)分頻與小數(shù)分頻 309
習(xí)題10 311
實(shí)驗(yàn)與設(shè)計 312
10-1 小數(shù)分頻 312
10-2 如何在FPGA設(shè)計中消除毛刺 314
第11章 Verilog Test Bench仿真 317
11.1 系統(tǒng)任務(wù)與系統(tǒng)函數(shù) 317
11.2 用戶自定義元件 321
11.2.1 組合電路UDP元件 322
11.2.2 時序邏輯UDP元件 323
11.3 延時模型的表示 325
11.3.1 時間標(biāo)尺定義`timescale 325
11.3.2 延時的表示與延時說明塊 326
11.4 Test Bench測試平臺 327
11.5 組合和時序電路的仿真 330
11.5.1 組合電路的仿真 330
11.5.2 時序電路的仿真 332
習(xí)題11 333
實(shí)驗(yàn)與設(shè)計 333
11-1 用ModelSim SE仿真8位二進(jìn)制加法器 333
11-2 用ModelSim SE仿真乘累加器 340
第12章 Verilog設(shè)計實(shí)例 343
12.1 m序列產(chǎn)生器 343
12.1.1 m序列的原理與性質(zhì) 343
12.1.2 m序列產(chǎn)生器設(shè)計 345
12.2 Gold碼 347
12.2.1 Gold碼的原理與性質(zhì) 348
12.2.2 Gold碼產(chǎn)生器設(shè)計 349
12.3 CRC校驗(yàn)碼 350
12.4 數(shù)字過零檢測與等精度頻率測量 352
12.4.1 數(shù)字過零檢測 352
12.4.2 等精度頻率測量 354
12.4.3 數(shù)字頻率測量系統(tǒng)頂層設(shè)計及仿真 355
12.5 QPSK調(diào)制器 360
12.5.1 QPSK調(diào)制原理 360
12.5.2 QPSK調(diào)制器的設(shè)計實(shí)現(xiàn) 361
12.5.3 QPSK調(diào)制器的仿真 369
12.6 小型神經(jīng)網(wǎng)絡(luò) 370
12.6.1 人工神經(jīng)網(wǎng)絡(luò) 370
12.6.2 設(shè)計實(shí)現(xiàn)與仿真 371
12.7 數(shù)字AGC 374
12.7.1 數(shù)字AGC技術(shù)的原理 375
12.7.2 數(shù)字AGC的實(shí)現(xiàn)與仿真 376
習(xí)題12 383
實(shí)驗(yàn)與設(shè)計 383
12-1 異步串行接口(UART) 383
附錄A Verilog HDL(IEEE Std 1364-1995)關(guān)鍵字 389
附錄B Verilog HDL(IEEE Std 1364-2001)關(guān)鍵字 390
附錄C DE2-115介紹 391
附錄D 有關(guān)術(shù)語與縮略語 393
參考文獻(xiàn) 398

本目錄推薦

掃描二維碼
Copyright ? 讀書網(wǎng) m.ranfinancial.com 2005-2020, All Rights Reserved.
鄂ICP備15019699號 鄂公網(wǎng)安備 42010302001612號